yosys-master
 All Data Structures Namespaces Files Functions Variables Typedefs Enumerations Enumerator Friends Macros
register.cc
Go to the documentation of this file.
1 /*
2  * yosys -- Yosys Open SYnthesis Suite
3  *
4  * Copyright (C) 2012 Clifford Wolf <clifford@clifford.at>
5  *
6  * Permission to use, copy, modify, and/or distribute this software for any
7  * purpose with or without fee is hereby granted, provided that the above
8  * copyright notice and this permission notice appear in all copies.
9  *
10  * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11  * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12  * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13  * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14  * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15  * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16  * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17  *
18  */
19 
20 #include "kernel/yosys.h"
21 #include <string.h>
22 #include <stdlib.h>
23 #include <stdio.h>
24 #include <errno.h>
25 
27 
28 #define MAX_REG_COUNT 1000
29 
30 bool echo_mode = false;
33 
34 std::map<std::string, Frontend*> frontend_register;
35 std::map<std::string, Pass*> pass_register;
36 std::map<std::string, Backend*> backend_register;
37 
38 std::vector<std::string> Frontend::next_args;
39 
40 Pass::Pass(std::string name, std::string short_help) : pass_name(name), short_help(short_help)
41 {
43  first_queued_pass = this;
44  call_counter = 0;
45  runtime_ns = 0;
46 }
47 
49 {
50  log_assert(pass_register.count(pass_name) == 0);
51  pass_register[pass_name] = this;
52 }
53 
55 {
56  while (first_queued_pass) {
57  first_queued_pass->run_register();
58  first_queued_pass = first_queued_pass->next_queued_pass;
59  }
60 }
61 
63 {
64  frontend_register.clear();
65  pass_register.clear();
66  backend_register.clear();
67  log_assert(first_queued_pass == NULL);
68 }
69 
71 {
72 }
73 
75 {
77  call_counter++;
79  state.parent_pass = current_pass;
80  current_pass = this;
81  return state;
82 }
83 
85 {
86  int64_t time_ns = PerformanceTimer::query() - state.begin_ns;
87  runtime_ns += time_ns;
88  current_pass = state.parent_pass;
89  if (current_pass)
90  current_pass->runtime_ns -= time_ns;
91 }
92 
93 void Pass::help()
94 {
95  log("\n");
96  log("No help message for command `%s'.\n", pass_name.c_str());
97  log("\n");
98 }
99 
100 void Pass::cmd_log_args(const std::vector<std::string> &args)
101 {
102  if (args.size() <= 1)
103  return;
104  log("Full command line:");
105  for (size_t i = 0; i < args.size(); i++)
106  log(" %s", args[i].c_str());
107  log("\n");
108 }
109 
110 void Pass::cmd_error(const std::vector<std::string> &args, size_t argidx, std::string msg)
111 {
112  std::string command_text;
113  int error_pos = 0;
114 
115  for (size_t i = 0; i < args.size(); i++) {
116  if (i < argidx)
117  error_pos += args[i].size() + 1;
118  command_text = command_text + (command_text.empty() ? "" : " ") + args[i];
119  }
120 
121  log("\nSyntax error in command `%s':\n", command_text.c_str());
122  help();
123 
124  log_cmd_error("Command syntax error: %s\n> %s\n> %*s^\n",
125  msg.c_str(), command_text.c_str(), error_pos, "");
126 }
127 
128 void Pass::extra_args(std::vector<std::string> args, size_t argidx, RTLIL::Design *design, bool select)
129 {
130  for (; argidx < args.size(); argidx++)
131  {
132  std::string arg = args[argidx];
133 
134  if (arg.substr(0, 1) == "-")
135  cmd_error(args, argidx, "Unknown option or option in arguments.");
136 
137  if (!select)
138  cmd_error(args, argidx, "Extra argument.");
139 
140  handle_extra_select_args(this, args, argidx, args.size(), design);
141  break;
142  }
143  // cmd_log_args(args);
144 }
145 
146 void Pass::call(RTLIL::Design *design, std::string command)
147 {
148  std::vector<std::string> args;
149 
150  std::string cmd_buf = command;
151  std::string tok = next_token(cmd_buf, " \t\r\n");
152 
153  if (tok.empty() || tok[0] == '#')
154  return;
155 
156  if (tok[0] == '!') {
157  cmd_buf = command.substr(command.find('!') + 1);
158  while (!cmd_buf.empty() && (cmd_buf.back() == ' ' || cmd_buf.back() == '\t' ||
159  cmd_buf.back() == '\r' || cmd_buf.back() == '\n'))
160  cmd_buf.resize(cmd_buf.size()-1);
161  log_header("Shell command: %s\n", cmd_buf.c_str());
162  int retCode = run_command(cmd_buf);
163  if (retCode != 0)
164  log_cmd_error("Shell command returned error code %d.\n", retCode);
165  return;
166  }
167 
168  while (!tok.empty()) {
169  if (tok == "#")
170  break;
171  if (tok.back() == ';') {
172  int num_semikolon = 0;
173  while (!tok.empty() && tok.back() == ';')
174  tok.resize(tok.size()-1), num_semikolon++;
175  if (!tok.empty())
176  args.push_back(tok);
177  call(design, args);
178  args.clear();
179  if (num_semikolon == 2)
180  call(design, "clean");
181  if (num_semikolon == 3)
182  call(design, "clean -purge");
183  } else
184  args.push_back(tok);
185  tok = next_token(cmd_buf, " \t\r\n");
186  }
187 
188  call(design, args);
189 }
190 
191 void Pass::call(RTLIL::Design *design, std::vector<std::string> args)
192 {
193  if (args.size() == 0 || args[0][0] == '#')
194  return;
195 
196  if (echo_mode) {
197  log("%s", create_prompt(design, 0));
198  for (size_t i = 0; i < args.size(); i++)
199  log("%s%s", i ? " " : "", args[i].c_str());
200  log("\n");
201  }
202 
203  if (pass_register.count(args[0]) == 0)
204  log_cmd_error("No such command: %s (type 'help' for a command overview)\n", args[0].c_str());
205 
206  size_t orig_sel_stack_pos = design->selection_stack.size();
207  auto state = pass_register[args[0]]->pre_execute();
208  pass_register[args[0]]->execute(args, design);
209  pass_register[args[0]]->post_execute(state);
210  while (design->selection_stack.size() > orig_sel_stack_pos)
211  design->selection_stack.pop_back();
212 
213  design->check();
214 }
215 
216 void Pass::call_on_selection(RTLIL::Design *design, const RTLIL::Selection &selection, std::string command)
217 {
218  std::string backup_selected_active_module = design->selected_active_module;
219  design->selected_active_module.clear();
220  design->selection_stack.push_back(selection);
221 
222  Pass::call(design, command);
223 
224  design->selection_stack.pop_back();
225  design->selected_active_module = backup_selected_active_module;
226 }
227 
228 void Pass::call_on_selection(RTLIL::Design *design, const RTLIL::Selection &selection, std::vector<std::string> args)
229 {
230  std::string backup_selected_active_module = design->selected_active_module;
231  design->selected_active_module.clear();
232  design->selection_stack.push_back(selection);
233 
234  Pass::call(design, args);
235 
236  design->selection_stack.pop_back();
237  design->selected_active_module = backup_selected_active_module;
238 }
239 
240 void Pass::call_on_module(RTLIL::Design *design, RTLIL::Module *module, std::string command)
241 {
242  std::string backup_selected_active_module = design->selected_active_module;
243  design->selected_active_module = module->name.str();
244  design->selection_stack.push_back(RTLIL::Selection(false));
245  design->selection_stack.back().select(module);
246 
247  Pass::call(design, command);
248 
249  design->selection_stack.pop_back();
250  design->selected_active_module = backup_selected_active_module;
251 }
252 
253 void Pass::call_on_module(RTLIL::Design *design, RTLIL::Module *module, std::vector<std::string> args)
254 {
255  std::string backup_selected_active_module = design->selected_active_module;
256  design->selected_active_module = module->name.str();
257  design->selection_stack.push_back(RTLIL::Selection(false));
258  design->selection_stack.back().select(module);
259 
260  Pass::call(design, args);
261 
262  design->selection_stack.pop_back();
263  design->selected_active_module = backup_selected_active_module;
264 }
265 
266 Frontend::Frontend(std::string name, std::string short_help) :
267  Pass(name.rfind("=", 0) == 0 ? name.substr(1) : "read_" + name, short_help),
268  frontend_name(name.rfind("=", 0) == 0 ? name.substr(1) : name)
269 {
270 }
271 
273 {
274  log_assert(pass_register.count(pass_name) == 0);
275  pass_register[pass_name] = this;
276 
279 }
280 
282 {
283 }
284 
285 void Frontend::execute(std::vector<std::string> args, RTLIL::Design *design)
286 {
287  log_assert(next_args.empty());
288  do {
289  std::istream *f = NULL;
290  next_args.clear();
291  auto state = pre_execute();
292  execute(f, std::string(), args, design);
293  post_execute(state);
294  args = next_args;
295  delete f;
296  } while (!args.empty());
297 }
298 
300 std::string Frontend::last_here_document;
301 
302 void Frontend::extra_args(std::istream *&f, std::string &filename, std::vector<std::string> args, size_t argidx)
303 {
304  bool called_with_fp = f != NULL;
305 
306  next_args.clear();
307  for (; argidx < args.size(); argidx++)
308  {
309  std::string arg = args[argidx];
310 
311  if (arg.substr(0, 1) == "-")
312  cmd_error(args, argidx, "Unknown option or option in arguments.");
313  if (f != NULL)
314  cmd_error(args, argidx, "Extra filename argument in direct file mode.");
315 
316  filename = arg;
317  if (filename == "<<" && argidx+1 < args.size())
318  filename += args[++argidx];
319  if (filename.substr(0, 2) == "<<") {
321  log_error("Unexpected here document '%s' outside of script!\n", filename.c_str());
322  if (filename.size() <= 2)
323  log_error("Missing EOT marker in here document!\n");
324  std::string eot_marker = filename.substr(2);
325  last_here_document.clear();
326  while (1) {
327  std::string buffer;
328  char block[4096];
329  while (1) {
330  if (fgets(block, 4096, Frontend::current_script_file) == NULL)
331  log_error("Unexpected end of file in here document '%s'!\n", filename.c_str());
332  buffer += block;
333  if (buffer.size() > 0 && (buffer[buffer.size() - 1] == '\n' || buffer[buffer.size() - 1] == '\r'))
334  break;
335  }
336  size_t indent = buffer.find_first_not_of(" \t\r\n");
337  if (indent != std::string::npos && buffer.substr(indent, eot_marker.size()) == eot_marker)
338  break;
339  last_here_document += buffer;
340  }
341  f = new std::istringstream(last_here_document);
342  } else {
343  if (filename.substr(0, 2) == "+/")
344  filename = proc_share_dirname() + filename.substr(1);
345  std::ifstream *ff = new std::ifstream;
346  ff->open(filename.c_str());
347  if (ff->fail())
348  delete ff;
349  else
350  f = ff;
351  }
352  if (f == NULL)
353  log_cmd_error("Can't open input file `%s' for reading: %s\n", filename.c_str(), strerror(errno));
354 
355  for (size_t i = argidx+1; i < args.size(); i++)
356  if (args[i].substr(0, 1) == "-")
357  cmd_error(args, i, "Found option, expected arguments.");
358 
359  if (argidx+1 < args.size()) {
360  next_args.insert(next_args.begin(), args.begin(), args.begin()+argidx);
361  next_args.insert(next_args.begin()+argidx, args.begin()+argidx+1, args.end());
362  args.erase(args.begin()+argidx+1, args.end());
363  }
364  break;
365  }
366  if (f == NULL)
367  cmd_error(args, argidx, "No filename given.");
368 
369  if (called_with_fp)
370  args.push_back(filename);
371  args[0] = pass_name;
372  // cmd_log_args(args);
373 }
374 
375 void Frontend::frontend_call(RTLIL::Design *design, std::istream *f, std::string filename, std::string command)
376 {
377  std::vector<std::string> args;
378  char *s = strdup(command.c_str());
379  for (char *p = strtok(s, " \t\r\n"); p; p = strtok(NULL, " \t\r\n"))
380  args.push_back(p);
381  free(s);
382  frontend_call(design, f, filename, args);
383 }
384 
385 void Frontend::frontend_call(RTLIL::Design *design, std::istream *f, std::string filename, std::vector<std::string> args)
386 {
387  if (args.size() == 0)
388  return;
389  if (frontend_register.count(args[0]) == 0)
390  log_cmd_error("No such frontend: %s\n", args[0].c_str());
391 
392  if (f != NULL) {
393  auto state = frontend_register[args[0]]->pre_execute();
394  frontend_register[args[0]]->execute(f, filename, args, design);
395  frontend_register[args[0]]->post_execute(state);
396  } else if (filename == "-") {
397  std::istream *f_cin = &std::cin;
398  auto state = frontend_register[args[0]]->pre_execute();
399  frontend_register[args[0]]->execute(f_cin, "<stdin>", args, design);
400  frontend_register[args[0]]->post_execute(state);
401  } else {
402  if (!filename.empty())
403  args.push_back(filename);
404  frontend_register[args[0]]->execute(args, design);
405  }
406 
407  design->check();
408 }
409 
410 Backend::Backend(std::string name, std::string short_help) :
411  Pass(name.rfind("=", 0) == 0 ? name.substr(1) : "write_" + name, short_help),
412  backend_name(name.rfind("=", 0) == 0 ? name.substr(1) : name)
413 {
414 }
415 
417 {
418  log_assert(pass_register.count(pass_name) == 0);
419  pass_register[pass_name] = this;
420 
423 }
424 
426 {
427 }
428 
429 void Backend::execute(std::vector<std::string> args, RTLIL::Design *design)
430 {
431  std::ostream *f = NULL;
432  auto state = pre_execute();
433  execute(f, std::string(), args, design);
434  post_execute(state);
435  if (f != &std::cout)
436  delete f;
437 }
438 
439 void Backend::extra_args(std::ostream *&f, std::string &filename, std::vector<std::string> args, size_t argidx)
440 {
441  bool called_with_fp = f != NULL;
442 
443  for (; argidx < args.size(); argidx++)
444  {
445  std::string arg = args[argidx];
446 
447  if (arg.substr(0, 1) == "-" && arg != "-")
448  cmd_error(args, argidx, "Unknown option or option in arguments.");
449  if (f != NULL)
450  cmd_error(args, argidx, "Extra filename argument in direct file mode.");
451 
452  if (arg == "-") {
453  filename = "<stdout>";
454  f = &std::cout;
455  continue;
456  }
457 
458  filename = arg;
459  std::ofstream *ff = new std::ofstream;
460  ff->open(filename.c_str(), std::ofstream::trunc);
461  if (ff->fail()) {
462  delete ff;
463  log_cmd_error("Can't open output file `%s' for writing: %s\n", filename.c_str(), strerror(errno));
464  }
465  f = ff;
466  }
467 
468  if (called_with_fp)
469  args.push_back(filename);
470  args[0] = pass_name;
471  // cmd_log_args(args);
472 
473  if (f == NULL) {
474  filename = "<stdout>";
475  f = &std::cout;
476  }
477 }
478 
479 void Backend::backend_call(RTLIL::Design *design, std::ostream *f, std::string filename, std::string command)
480 {
481  std::vector<std::string> args;
482  char *s = strdup(command.c_str());
483  for (char *p = strtok(s, " \t\r\n"); p; p = strtok(NULL, " \t\r\n"))
484  args.push_back(p);
485  free(s);
486  backend_call(design, f, filename, args);
487 }
488 
489 void Backend::backend_call(RTLIL::Design *design, std::ostream *f, std::string filename, std::vector<std::string> args)
490 {
491  if (args.size() == 0)
492  return;
493  if (backend_register.count(args[0]) == 0)
494  log_cmd_error("No such backend: %s\n", args[0].c_str());
495 
496  size_t orig_sel_stack_pos = design->selection_stack.size();
497 
498  if (f != NULL) {
499  auto state = backend_register[args[0]]->pre_execute();
500  backend_register[args[0]]->execute(f, filename, args, design);
501  backend_register[args[0]]->post_execute(state);
502  } else if (filename == "-") {
503  std::ostream *f_cout = &std::cout;
504  auto state = backend_register[args[0]]->pre_execute();
505  backend_register[args[0]]->execute(f_cout, "<stdout>", args, design);
506  backend_register[args[0]]->post_execute(state);
507  } else {
508  if (!filename.empty())
509  args.push_back(filename);
510  backend_register[args[0]]->execute(args, design);
511  }
512 
513  while (design->selection_stack.size() > orig_sel_stack_pos)
514  design->selection_stack.pop_back();
515 
516  design->check();
517 }
518 
519 struct HelpPass : public Pass {
520  HelpPass() : Pass("help", "display help messages") { }
521  virtual void help()
522  {
523  log("\n");
524  log(" help ............. list all commands\n");
525  log(" help <command> ... print help message for given command\n");
526  log(" help -all ........ print complete command reference\n");
527  log("\n");
528  }
529  void escape_tex(std::string &tex)
530  {
531  size_t pos = 0;
532  while ((pos = tex.find('_', pos)) != std::string::npos) {
533  tex.replace(pos, 1, "\\_");
534  pos += 2;
535  }
536  }
537  void write_tex(FILE *f, std::string cmd, std::string title, std::string text)
538  {
539  size_t begin = text.find_first_not_of("\n"), end = text.find_last_not_of("\n");
540  if (begin != std::string::npos && end != std::string::npos && begin < end)
541  text = text.substr(begin, end-begin+1);
542  std::string cmd_unescaped = cmd;
543  escape_tex(cmd);
544  escape_tex(title);
545  fprintf(f, "\\section{%s -- %s}\n", cmd.c_str(), title.c_str());
546  fprintf(f, "\\label{cmd:%s}\n", cmd_unescaped.c_str());
547  fprintf(f, "\\begin{lstlisting}[numbers=left,frame=single]\n");
548  fprintf(f, "%s\n\\end{lstlisting}\n\n", text.c_str());
549  }
550  void escape_html(std::string &html)
551  {
552  size_t pos = 0;
553  while ((pos = html.find_first_of("<>&", pos)) != std::string::npos)
554  switch (html[pos]) {
555  case '<':
556  html.replace(pos, 1, "&lt;");
557  pos += 4;
558  break;
559  case '>':
560  html.replace(pos, 1, "&gt;");
561  pos += 4;
562  break;
563  case '&':
564  html.replace(pos, 1, "&amp;");
565  pos += 5;
566  break;
567  }
568  }
569  void write_html(FILE *idxf, std::string cmd, std::string title, std::string text)
570  {
571  FILE *f = fopen(stringf("cmd_%s.in", cmd.c_str()).c_str(), "wt");
572  fprintf(idxf, "<li><a href=\"cmd_%s.html\"> ", cmd.c_str());
573 
574  escape_html(cmd);
575  escape_html(title);
576  escape_html(text);
577 
578  fprintf(idxf, "%s</a> <span>%s</span></a>\n", cmd.c_str(), title.c_str());
579 
580  fprintf(f, "@cmd_header %s@\n", cmd.c_str());
581  fprintf(f, "<h1>%s - %s</h1>\n", cmd.c_str(), title.c_str());
582  fprintf(f, "<pre>%s</pre>\n", text.c_str());
583  fprintf(f, "@footer@\n");
584 
585  fclose(f);
586  }
587  virtual void execute(std::vector<std::string> args, RTLIL::Design*)
588  {
589  if (args.size() == 1) {
590  log("\n");
591  for (auto &it : pass_register)
592  log(" %-20s %s\n", it.first.c_str(), it.second->short_help.c_str());
593  log("\n");
594  log("Type 'help <command>' for more information on a command.\n");
595  log("\n");
596  return;
597  }
598 
599  if (args.size() == 2) {
600  if (args[1] == "-all") {
601  for (auto &it : pass_register) {
602  log("\n\n");
603  log("%s -- %s\n", it.first.c_str(), it.second->short_help.c_str());
604  for (size_t i = 0; i < it.first.size() + it.second->short_help.size() + 6; i++)
605  log("=");
606  log("\n");
607  it.second->help();
608  }
609  }
610  // this option is undocumented as it is for internal use only
611  else if (args[1] == "-write-tex-command-reference-manual") {
612  FILE *f = fopen("command-reference-manual.tex", "wt");
613  fprintf(f, "%% Generated using the yosys 'help -write-tex-command-reference-manual' command.\n\n");
614  for (auto &it : pass_register) {
615  std::ostringstream buf;
616  log_streams.push_back(&buf);
617  it.second->help();
618  log_streams.pop_back();
619  write_tex(f, it.first, it.second->short_help, buf.str());
620  }
621  fclose(f);
622  }
623  // this option is undocumented as it is for internal use only
624  else if (args[1] == "-write-web-command-reference-manual") {
625  FILE *f = fopen("templates/cmd_index.in", "wt");
626  for (auto &it : pass_register) {
627  std::ostringstream buf;
628  log_streams.push_back(&buf);
629  it.second->help();
630  log_streams.pop_back();
631  write_html(f, it.first, it.second->short_help, buf.str());
632  }
633  fclose(f);
634  }
635  else if (pass_register.count(args[1]) == 0)
636  log("No such command: %s\n", args[1].c_str());
637  else
638  pass_register.at(args[1])->help();
639  return;
640  }
641 
642  help();
643  }
644 } HelpPass;
645 
646 struct EchoPass : public Pass {
647  EchoPass() : Pass("echo", "turning echoing back of commands on and off") { }
648  virtual void help()
649  {
650  log("\n");
651  log(" echo on\n");
652  log("\n");
653  log("Print all commands to log before executing them.\n");
654  log("\n");
655  log("\n");
656  log(" echo off\n");
657  log("\n");
658  log("Do not print all commands to log before executing them. (default)\n");
659  log("\n");
660  }
661  virtual void execute(std::vector<std::string> args, RTLIL::Design*)
662  {
663  if (args.size() > 2)
664  cmd_error(args, 2, "Unexpected argument.");
665 
666  if (args.size() == 2) {
667  if (args[1] == "on")
668  echo_mode = true;
669  else if (args[1] == "off")
670  echo_mode = false;
671  else
672  cmd_error(args, 1, "Unexpected argument.");
673  }
674 
675  log("echo %s\n", echo_mode ? "on" : "off");
676  }
677 } EchoPass;
678 
680 
static std::string next_token(bool pass_newline=false)
Definition: preproc.cc:96
bool echo_mode
Definition: register.cc:30
HelpPass HelpPass
std::string str() const
Definition: rtlil.h:182
void cmd_error(const std::vector< std::string > &args, size_t argidx, std::string msg)
Definition: register.cc:110
virtual void run_register()
Definition: register.cc:416
std::vector< RTLIL::Selection > selection_stack
Definition: rtlil.h:509
std::string stringf(const char *fmt,...)
Definition: yosys.cc:58
const char * create_prompt(RTLIL::Design *design, int recursion_counter)
Definition: yosys.cc:400
void cmd_log_args(const std::vector< std::string > &args)
Definition: register.cc:100
void handle_extra_select_args(Pass *pass, std::vector< std::string > args, size_t argidx, size_t args_size, RTLIL::Design *design)
Definition: select.cc:803
void free(void *)
static int64_t query()
Definition: log.h:151
static std::string last_here_document
Definition: register.h:70
void log_header(const char *format,...)
Definition: log.cc:188
#define YOSYS_NAMESPACE_END
Definition: yosys.h:100
static void frontend_call(RTLIL::Design *design, std::istream *f, std::string filename, std::string command)
Definition: register.cc:375
std::map< std::string, Frontend * > frontend_register
Definition: register.cc:34
std::string frontend_name
Definition: register.h:72
int64_t runtime_ns
Definition: register.h:37
void check()
Definition: rtlil.cc:357
void log_error(const char *format,...)
Definition: log.cc:204
RTLIL::Module * module
Definition: abc.cc:94
Pass * first_queued_pass
Definition: register.cc:31
void escape_tex(std::string &tex)
Definition: register.cc:529
Pass * current_pass
Definition: register.cc:32
EchoPass EchoPass
static FILE * current_script_file
Definition: register.h:69
int run_command(const std::string &command, std::function< void(const std::string &)> process_line)
Definition: yosys.cc:195
Pass * next_queued_pass
Definition: register.h:60
void write_html(FILE *idxf, std::string cmd, std::string title, std::string text)
Definition: register.cc:569
std::vector< std::ostream * > log_streams
Definition: log.cc:38
virtual void help()
Definition: register.cc:93
std::string pass_name
Definition: register.h:29
Definition: register.h:39
void extra_args(std::ostream *&f, std::string &filename, std::vector< std::string > args, size_t argidx)
Definition: register.cc:439
static void backend_call(RTLIL::Design *design, std::ostream *f, std::string filename, std::string command)
Definition: register.cc:479
virtual void execute(std::vector< std::string > args, RTLIL::Design *design) YS_OVERRIDE YS_FINAL
Definition: register.cc:429
static std::vector< std::string > next_args
Definition: register.h:79
virtual void execute(std::vector< std::string > args, RTLIL::Design *)
Definition: register.cc:587
#define log_assert(_assert_expr_)
Definition: log.h:85
virtual void execute(std::vector< std::string > args, RTLIL::Design *design) YS_OVERRIDE YS_FINAL
Definition: register.cc:285
static void done_register()
Definition: register.cc:62
RTLIL::IdString name
Definition: rtlil.h:599
virtual void run_register()
Definition: register.cc:272
Pass * parent_pass
Definition: register.h:40
std::string backend_name
Definition: register.h:88
void escape_html(std::string &html)
Definition: register.cc:550
virtual void help()
Definition: register.cc:521
Definition: register.h:27
void log_cmd_error(const char *format,...)
Definition: log.cc:211
Pass(std::string name, std::string short_help="** document me **")
Definition: register.cc:40
Backend(std::string name, std::string short_help="** document me **")
Definition: register.cc:410
HelpPass()
Definition: register.cc:520
#define NULL
#define YOSYS_NAMESPACE_BEGIN
Definition: yosys.h:99
virtual void execute(std::vector< std::string > args, RTLIL::Design *)
Definition: register.cc:661
static void call_on_module(RTLIL::Design *design, RTLIL::Module *module, std::string command)
Definition: register.cc:240
int call_counter
Definition: register.h:36
void log(const char *format,...)
Definition: log.cc:180
virtual ~Backend()
Definition: register.cc:425
pre_post_exec_state_t pre_execute()
Definition: register.cc:74
virtual void run_register()
Definition: register.cc:48
std::map< std::string, Pass * > pass_register
Definition: register.cc:35
std::string proc_share_dirname()
Definition: yosys.cc:543
virtual ~Pass()
Definition: register.cc:70
std::string selected_active_module
Definition: rtlil.h:511
static void init_register()
Definition: register.cc:54
int64_t begin_ns
Definition: register.h:41
static void call_on_selection(RTLIL::Design *design, const RTLIL::Selection &selection, std::string command)
Definition: register.cc:216
void extra_args(std::vector< std::string > args, size_t argidx, RTLIL::Design *design, bool select=true)
Definition: register.cc:128
void write_tex(FILE *f, std::string cmd, std::string title, std::string text)
Definition: register.cc:537
static void call(RTLIL::Design *design, std::string command)
Definition: register.cc:146
EchoPass()
Definition: register.cc:647
void extra_args(std::istream *&f, std::string &filename, std::vector< std::string > args, size_t argidx)
Definition: register.cc:302
Frontend(std::string name, std::string short_help="** document me **")
Definition: register.cc:266
virtual ~Frontend()
Definition: register.cc:281
std::map< std::string, Backend * > backend_register
Definition: register.cc:36
virtual void help()
Definition: register.cc:648
void post_execute(pre_post_exec_state_t state)
Definition: register.cc:84