yosys-master
 All Data Structures Namespaces Files Functions Variables Typedefs Enumerations Enumerator Friends Macros
techlibs/common/Makefile.inc
Go to the documentation of this file.
1 
2 OBJS += techlibs/common/synth.o
3 
4 EXTRA_TARGETS += techlibs/common/blackbox.v
5 
6 techlibs/common/blackbox.v: techlibs/common/blackbox.sed techlibs/common/simlib.v techlibs/common/simcells.v
7  $(P) cat techlibs/common/simlib.v techlibs/common/simcells.v | $(SED) -rf techlibs/common/blackbox.sed > techlibs/common/blackbox.v.new
8  $(Q) mv techlibs/common/blackbox.v.new techlibs/common/blackbox.v
9 
10 EXTRA_TARGETS += share/simlib.v share/simcells.v share/techmap.v share/blackbox.v share/pmux2mux.v share/adff2dff.v
11 
12 share/simlib.v: techlibs/common/simlib.v
13  $(P) mkdir -p share
14  $(Q) cp techlibs/common/simlib.v share/simlib.v
15 
16 share/simcells.v: techlibs/common/simcells.v
17  $(P) mkdir -p share
18  $(Q) cp techlibs/common/simcells.v share/simcells.v
19 
20 share/techmap.v: techlibs/common/techmap.v
21  $(P) mkdir -p share
22  $(Q) cp techlibs/common/techmap.v share/techmap.v
23 
24 share/blackbox.v: techlibs/common/blackbox.v
25  $(P) mkdir -p share
26  $(Q) cp techlibs/common/blackbox.v share/blackbox.v
27 
28 share/pmux2mux.v: techlibs/common/pmux2mux.v
29  $(P) mkdir -p share
30  $(Q) cp techlibs/common/pmux2mux.v share/pmux2mux.v
31 
32 share/adff2dff.v: techlibs/common/adff2dff.v
33  $(P) mkdir -p share
34  $(Q) cp techlibs/common/adff2dff.v share/adff2dff.v
35